集成电路 |
|
| 按行业筛选 |
|
|
| 按产品筛选 |
|
|
| |
本产品全部新闻
|
|
|
|
中芯国际推增强型90纳米参考流程 |
|
http://cn.newmaker.com
2/27/2008 9:20:00 AM
佳工机电网
|
|
美国东部时间2月26日8:00(北京时间2月26日21:00)消息,新思科技 (Synopsys) 和中芯国际(NYSE:SMI)今天宣布,将联合推出一个支持层次化设计及多电压设计的增强型90纳米 RTL-to-GDSII 参考设计流程。
这一流程受益于当前最先进的逻辑综合、可测性设计 (DFT) 和可制造性设计 (DFM) 技术,其主要特性包括:Design CompilerTM Ultra 产品的拓扑综合 (topographical synthesis) 技术、DFT MAX 产品的扫描压缩技术以及 IC Compiler 布局与布线 (place-and-route) 产品的关键区域分析 (Critical Area Analysis) 技术。这些技术的完美融合有助于降低片上系统 (SoCs) 的实施和测试成本。
这一参考流程还采用了 IC Compiler 中的关键区域分析 (CAA) 技术来确定随机颗粒缺陷对成品率的影响。通过采用 CAA,设计人员可以识别出成品率损失较大的电路结构,并在生产前采取纠正措施。这一流程中的其它 DFM 功能包括连线过孔的优化以及插入填充去耦单元 (filler cell and filler cap)。
|
对 集成电路 有何见解?请到 集成电路论坛 畅所欲言吧!
|